深入理解SD卡基础原理以及内部结构的总结

来源:百度文库 编辑:神马文学网 时间:2024/04/29 13:19:59

深入理解SD卡基础原理以及内部结构的总结

  1、简介:
  SD卡(Secure Digital Memory Card)是一种为满足安全性、容量、性能和使用环境等各方面的需求而设计的一种新型存储器件,SD卡允许在两种模式下工作,即SD模式和SPI模式,本系统采用SPI模式。本小节仅简要介绍在SPI模式下,STM32处理器如何读写SD卡,如果读者如希望详细了解SD卡,可以参考相关资料。
SD卡内部结构及引脚如下图所示:

                SD卡内部图.JPG    2、SD卡管脚图:   3、SPI模式下SD各管脚名称为:
sd卡: 

                     SPI模式下SD各管脚名称为.JPG



注:一般SD有两种模式:SD模式和SPI模式,管脚定义如下:
    (A)、SD MODE 1、CD/DATA3  2、CMD 3、VSS1 4、VDD 5、CLK   6、VSS2 7、DATA0 8、DATA1 9、DATA2
    (B)、SPI MODE 1、CS               2、DI 3、VSS   4、VDD 5、SCLK 6、VSS2 7、DO           8、RSV 9、RSV

SD卡主要引脚和功能为:
         CLK:时钟信号,每个时钟周期传输一个命令或数据位,频率可在0~25MHz之间变化,SD卡的总线管理器可以不受任何限制的自由产生0~25MHz的频率;
         CMD:双向命令和回复线,命令是一次主机到从卡操作的开始,命令可以是从主机到单卡寻址,也可以是到所有卡;回复是对之前命令的回答,回复可以来自单卡或所有卡;
         DAT0~3:数据线,数据可以从卡传向主机也可以从主机传向卡。
         SD卡以命令形式来控制SD卡的读写等操作。可根据命令对多块或单块进行读写操作。在SPI模式下其命令由6个字节构成,其中高位在前。SD卡命令的格式如表1所示,其中相关参数可以查阅SD卡规范。

  4、MicroSD卡管脚图:


                           MicroSD卡管脚图.JPG

  5、MicroSD卡管脚名称:


                          MicroSD卡管脚名称.JPG   SD卡与MicroSD卡仅仅是封装上的不同,MicroSD卡更小,大小上和一个SIM卡差不多,但是协议与SD卡相同。
一般我们用单片机操作SD卡时,都不需要对FAT分区表信息做处理,原因如下:
1)、操作FAT分区表要增加程序代码量、增加SRAM的消耗,对于便携应用来说代码大小和占用SRAM的多少至关重要。
2)、即使我们对FAT分区表不做任何了解,实际上我们一样可以向SD卡上写入数据,这就表明使用FAT对我们做数据存储应用来说如同鸡肋。
3)、耗费大量经历和时间去了解FAT分区表对于我们做嵌入式软件开发的人来说有些得不偿失。
4)、SD卡支持两种操作模式,SD模式和SPI模式,SPI模式做SD数据操作时根本不需要知道FAT,这时候SD卡对于我们来说实际上就是个大的、快速的、方便的、容量可变的外部存储器。
基于以上原因,一般情况下对SD卡的操作只需要了解SPI通讯就可以了,而现在大部分单片机都有SPI接口,那么操作SD卡易如反掌。   以下是做SD卡试验时使用的电路图:                         SD卡试验时使用的电路图.JPG  SD_CS/连接到单片机的片选SD管脚,只有单片机设置SD_CS/为低电平时才可以操作SD卡。
MOSI连接单片机SPI总线的MOSI管脚(SPI数据输入),单片机从这个管脚读取SD卡内的数据。
MISO连接单片机SPI总线的MISO管脚(SPI数据输出)、单片机通过这个管脚向SD卡内写入数据。
SCK连接单片机SPI总线的SCK(SPI时钟)
SD管脚实际上在SD卡内部连接到了GND,当SD插座上没插入SD卡时,单片机从这个管脚能读到高电平(前提是使用单片机内部上拉输入,或者外部增加一个上拉电阻),一旦插入SD卡,这个管脚就变成低电平,这个功能用来检测是否插入SD卡。
RSV1和RSV2是保留功能管脚,不需要操作。
MicroSD卡的连接和SD卡大同小异,只是MicroSD卡比SD卡少一个GND管脚,所以不能使用上面做的这种插入卡的检测,实际上现在很多SD卡/MicroSD卡插座都有插入检测管脚,当然,一分钱一分货,价格上当然也要贵一些
顺便提一下,普通SD卡插座最多5块钱。  

SPI命令格式


Byte 1


Byte2-5


Byte 6


7


6


5        0


31         0


7


0


0


1


Command


Command Argument


CRC


1

 


以下是一个简单的测试SD卡读写的程序,程序是基于Atmega128单片机编写的,对于Atmega的其他单片机仅需要做管脚改动就可以使用,其他单片机更改要更大。
sd.h
//******************************************************************
//SPI
各线所占用的端口
#define SD_SS          PB6                           
#define SD_SCK         PB1
#define SD_MOSI        PB2
#define SD_MISO        PB3
//******************************************************************

#define SD_DDR         DDRB
#define SD_PORT        PORTB
#define SD_PIN         PINB

#define SD_SS_H        SD_PORT |= (1<#define SDSS_L        SD_PORT &= ~(1<#define SD_SCK_H       SD_PORT |= (1<#define SD_SCK_L       SD_PORT &= ~(1<#define SD_MOSI_H      SD_PORT |= (1<#define SD_MOSI_L      SD_PORT &= ~(1<
#define SD_MISO_IN     (SD_PIN&(1<//-------------------------------------------------------------
//
错误号
//-------------------------------------------------------------
#define INIT_CMD0_ERROR         0xFF
#define INIT_CMD1_ERROR         0xFE
#define WRITE_BLOCK_ERROR       0xFD
#define READ_BLOCK_ERROR        0xFC
#define TRUE                    0x01
//-------------------------------------------------------------   
// MMC/SD
命令(命令号从40开始,只列出基本命令,并没有都使用)
//-------------------------------------------------------------
#define SD_RESET                0x40 + 0                    
#define SD_INIT                0x40 + 1
#define SD_READ_CSD            0x40 + 9
#define SD_READ_CID            0x40 + 10
#define SD_STOP_TRANSMISSION    0x40 + 12
#define SD_SEND_STATUS            0x40 + 13
#define SD_SET_BLOCKLEN        0x40 + 16
#define SD_READ_BLOCK            0x40 + 17
#define SD_READ_MULTI_BLOCK    0x40 + 18
#define SD_WRITE_BLOCK            0x40 + 24
#define SD_WRITE_MULTI_BLOCK    0x40 + 25

//片选关
(MMC/SD-Card Invalid)
#define SD_Disable()   SD_SS_H
//片选开
(MMC/SD-Card Active)
#define SD_Enable()    SD_SS_L

SD_TEST.C
//****************************************************************************************/
//ICC-AVR application builder : 03-5-20 8:39:11
// Target : M128
// Crystal: 3.6864Mhz

#include
#include
#include  'sd.h'
void uart0_init(void);
void putchar(unsigned char content);
void putstr(unsigned char *s);
void SD_Port_Init(void);
unsigned char SD_Init(void);
unsigned char SD_write_sector(unsigned long addr,unsigned char *Buffer);
unsigned char SD_read_sector(unsigned long addr,unsigned char *Buffer);
unsigned char SPI_TransferByte(unsigned char byte);
unsigned char Write_Command_SD(unsigned char cmd,unsigned long address);
unsigned long SD_find(void);
//**************************************************************************
//串口调试程序

//**************************************************************************
void uart0_init(void)
{
    UCSR0B = 0x00; //disable while setting baud rate
    UCSR0A = 0x00;
    UCSR0C = 0x06; // 00000110 UART0
设置为异步模式、无奇偶校验、1位停止位、8位数据位
    UBRR0L = 0x17; //set baud rate lo
    UBRR0H = 0x00; //set baud rate hi
设置UART0口通信速率9600
    UCSR0B = 0x18;
}
void putchar(unsigned char content)
{
   
        while(!(UCSR0A & (1 << UDRE0))); /*    判断上次发送有没有完成
    */
        UDR0 = content;    /*    发送数据
    */
        
}
void putstr(unsigned char *s)
{
   
        while(*s)
        {
            putchar(*s);
            s++;
        }
   
}

//****************************************************************************
//端口初始化

void SD_Port_Init(void)
//****************************************************************************
{
        SD_PORT        |=  (1<输出
        SD_DDR         |=  (1<输出
        SD_DDR         &= ~(1<输入
}

//****************************************************************************
//
初始化 MMC/SD 卡为SPI模式
unsigned char SD_Init(void)
//****************************************************************************
{
        unsigned char retry,temp;
        unsigned char i;
   

        SPCR=0x53;                           //
设定SPI为128分频,慢速进行初始化
        SPSR=0x00;

        for (i=0;i<0x0f;i++)            
        {
                SPI_TransferByte(0xff); //
延迟74个以上的时钟
        }

        SD_Enable();                    //
开片选
        
        SPI_TransferByte(SD_RESET);    //
发送复位命令
        SPI_TransferByte(0x00);
        SPI_TransferByte(0x00);
        SPI_TransferByte(0x00);
        SPI_TransferByte(0x00);
        SPI_TransferByte(0x95);            
        
        SPI_TransferByte(0xff);
        SPI_TransferByte(0xff);
        
        retry=0;
        do{
                temp="Write"_Command_SD(SD_INIT,0);      //
发送初始化命令
                retry++;
                if(retry==100)                             //
重试100次
                {
                        SD_Disable();                     //
关片选
                                                     
                        return(INIT_CMD1_ERROR);      //
如果重试100次失败返回错误号
                        
                }
        }while(temp!=0);                              

        MSD_Disable();                                  //
关片选
        
        SPCR=0x50;                                        //
设置SPI为2分频。进行高速读写
        SPSR=0x01;
                                                      
        return(TRUE);                                  //
返回成功
}

//****************************************************************************
//
发送命令给 MMC/SD卡
//Return: 返回MMC/SD卡对命令响应的第2字节,作为命令成功判断
unsigned char Write_Command_SD(unsigned char cmd,unsigned long address)
//****************************************************************************
{
        unsigned char tmp;
        unsigned char retry="0";

        SD_Disable();
        SPI_TransferByte(0xFF);
        
        SD_Enable();

        SPI_TransferByte(cmd);                     //
将32位地址进行移位作为地址字节                                      
        SPI_TransferByte(address>>24);
        SPI_TransferByte(address>>16);
        SPI_TransferByte(address>>8);
        SPI_TransferByte(address);
        SPI_TransferByte(0xFF);
        
        SPI_TransferByte(0xFF);
        
        do{
                tmp = SPI_TransferByte(0xFF);    //发送8个时钟接受最后一个字节
                                                                    
                retry++;
        }while((tmp==0xff)&&(retry<8));
        return(tmp);
        
}


//****************************************************************************
//写一个扇区
(512Byte) to MMC/SD-Card
//如果写完成返回
TRUE
unsigned char SD_write_sector(unsigned long addr,unsigned char *Buffer)
//****************************************************************************
{
        unsigned char temp;
        unsigned int i;
  
        SPI_TransferByte(0xFF);                                    //延迟8个时钟
              
        SD_Enable();                                            //开片选

        
        temp = Write_Command_MMC(MMC_WRITE_BLOCK,addr<<9);        //
发送写扇区命令
        if(temp != 0x00)
        {
                SD_Disable();
                return(temp);
        }
        
        SPI_TransferByte(0xFF);
        SPI_TransferByte(0xFF);
        SPI_TransferByte(0xFE);

        for (i=0;i<512;i++)
        {
                SPI_TransferByte(*Buffer++); //
发送512字节数据
        }

        //CRC-Byte
        SPI_TransferByte(0xFF); //Dummy CRC
        SPI_TransferByte(0xFF); //CRC Code

        temp = SPI_TransferByte(0xFF);   //
读SD卡运行响应
        if((temp & 0x1F)!=0x05)             //如果最后4位为0101,为操作成功。否则为操作失败。
        {
                SD_Disable();
                                                     
                return(WRITE_BLOCK_ERROR); //
返回错误
        }
        
        while (SPI_TransferByte(0xFF) != 0xFF);

        SD_Disable();
        return(TRUE);                            //
返回成功   
}
//****************************************************************************
//读512字节
from MMC/SD-Card
//如果成功返回
TRUE
unsigned char SD_read_sector(unsigned long addr,unsigned char *Buffer)
//****************************************************************************
{
        unsigned char temp;
        unsigned int i;
        unsigned char data;



        SPI_TransferByte(0xff);                                                  
        
        MMC_Enable();
        
        temp = Write_Command_SD(SD_READ_BLOCK,addr<<9);//发送读扇区命令

        
        if(temp != 0x00)
        {
                SD_Disable();
                                                         
                return(READ_BLOCK_ERROR);                //
返回错误号                 
        }
        
        while(SPI_TransferByte(0xff) != 0xfe);

        
        for(i=0;i<512;i++)
        {
                data = SPI_TransferByte(0xff);        //存数据
   

                *Buffer++=data;

               
        }
        
        SPI_TransferByte(0xff);                        //读CRC码

        SPI_TransferByte(0xff);                        //读CRC码
        
        SD_Disable();
                                                         
        return(TRUE);                                    //
返回成功
}
//**************************************************************************
//
查找数据开始标志(预设DATASTART)根据实际需要删改
//**************************************************************************
unsigned long SD_find(void)                        
{      
       unsigned long tmp="400";
       unsigned char data[512];

       do
       {
       SD_read_sector(tmp,data);                    //
从0扇区开始查找
       tmp++;                                        //查找DATASTART        
               
       }while(!((data[0]=='D')&&(data[1]=='A')&&(data[2]=='T')&&(data[3]=='A')&&(data[4]=='S')&&(data[5]=='T')&&(data[6]=='A')&&(data[7]=='R')&&(data[8]=='T')));
       return tmp;                                    //返回开始标志的下一个扇区

}      
//**************************************************************************
//
发送一个字节
//**************************************************************************
unsigned char SPI_TransferByte(unsigned char byte)
{
    SPDR = byte;
    while (!(SPSR & 0x80));                               //
检测线路是否空闲                        
    return SPDR;
}

//**************************************************************************
//主程序例子

//**************************************************************************
void main(void)
{     
    unsigned long temp;
    unsigned char data[512];
    unsigned char data2[512]={'sssssssssssssssssssssssss'};
    unsigned char comm1[]={'\r\nhello world\r\n'};
    unsigned char comm2[]={'\r\nSD_INIT OK\r\n'};
    uart0_init();
     SD_Port_Init();                     //
端口初始化
    if(SD_Init()== 0x01)
    {                                      //SD
卡初始化,并读取返回值
    putstr(comm2);
    }
    temp="SD"_find();                      //
查找DATASTART数据开始标志,返回下一扇区地址
    SD_read_sector(1001,data);             //读取temp地址的512字节数据,512字节数据存入data数组
    putstr(data);                 
    SD_write_sector(temp,data2);         //
将data2数组512字节数据写入temp扇区
}

测试程序很简单,仅仅是做了一下读写SD卡的测试。

关于SD卡的几点注意事项。
1、无论我们愿意不愿意,SD卡每次读写数据的最小单位是1个扇区,即512个字节。
2、SD卡与单片机连接的SPI总线不能太长,要尽量短。这样的好处是速度可以更快,也不容易出错。
3、虽然我们并不关心FAT文件表,但是我们仍然要关心SD卡的存储结构,如果我们不想使用PC机来读取保存在SD卡上的数据那我们就不用关心SD存储结构了。但,作为一个大容量的可移动存储设备,不能用PC机来读取是个很大的遗憾,我解决这个遗憾的方法如下:
3-1、因为我不了解FAT复杂的结构,所以我做的程序没法去按照FAT表的各项功能来进行创建文件、删除文件、创建目录等等操作。
3-2、虽然我们的单片机不能创建文件,但是PC机是可以创建文件的啊!所以我使用PC机将SD卡格式化,之后在SD卡上创建一个大文件,比如我的128M的SD卡上我建立了一个100M的文件。这里需要注意一下,一般使用windows创建文件的功能时是没有办法指定创建文件的大小的,空文件就是0个字节的长度,而我们是需要一个固定长度的文件的,所以我用VC编写了一个小软件,这个软件可以为我创建一个100M长度的空文件,记住,这点很重要:一个固定长度的空文件
3-3、虽然我们建立了个文件在SD卡上,可是我们因为不去了解FAT表,所以我们一样不知道这个文件到底位于SD卡的什么地方,不要以为它会在0字节的地方开始,为了找到这个文件的开始位置,我们可以在建立的那个空文件的开头写上几个字符,比如我程序里面写的“DATASTART”,接下来我们要做的就是一个扇区一个扇区的去找这个几个特殊的字符,这是个笨方法,但却是最简单直观的方法。这个方法有两个缺点:a、如果文件建立在整个SD卡的后面,那找到这个文件需要漫长的等待。b、如果碰巧某个文件里面也有我们定义的那个特殊字符串的话,那就乱套了!不过好在我们使用的SD卡一般都是专用的,并不能拿去做其他应用,比如从公司copy点文件回家之类的,那就能保证这个SD卡上文件的简单性,即只有我们需要的那个文件,其他文件并不存在,而且这个文件肯定会从SD卡开始的那些扇区中的某一个开始。这样说来的话找到这个字符串也不是那么慢嘛!^_^。不过这里要建议一下,在使用SD卡之前最好用windows将它完全格式话一下。
3-4、一旦我们找到了我们要写入文件的起始位置(它一般表示为一个扇区号),那我们就可以在这个起始扇区的下一个扇区写入数据了。


SD/SDIO
SPI模式

 

1. SPI模式的进入

 

卡处于IDLE状态下,接收到CMD0 +CS =0 ,卡进入SPI模式;

 

卡在其他状态下,即使接收到CMD0+CS=0,也不一定进入SPI模式;

 

进入SPI模式的两种可能,一是卡上电复位后,二是卡在SD模式下接收到复位命令回到IDLE状态,在前面两种的任何一种情况下,卡接收到CMD0+CS=0即进入SPI模式。

 

卡进入SPI模式后,如果再收到CMD0那么卡仍回到IDLE状态,但仍是SPI 模式下的IDLE状态。如果想要回到SD模式,只有进行断电从启。

 

 2ACMD41

 

SPI模式下ACMD41SD模式下不再一样,此时ACMD41将没有参数,其响应R1的最低位(IN IDLE STATE)在初始化后为1。当CARD接收到ACMD41,并且已经完成其他的初始化操作后,将此位置低。HOST发现此位为0时才继续发新的命令;否则循环发ACMD41,直至IN IDLE STATE =0

 

3. 数据校验

 

SPI模式下默认不进行数据的CRC校验;但是命令和响应中的CRC位仍然保持,只是这些位将作为“DONT CARE BIE”(不关注位)。

 

这样做的好处是只支持SPI模式的HOST可以省掉产生CRC和验证CRC的电路部分。

 

唯一例外的是,在卡的初始状态下,卡处于SD模式,要将卡置于SPI模式下,HOST需要发固定的数据串0x40, 0x0, 0x0, 0x0, 0x0, 0x95

 

卡识别到这个命令后也进入SPI模式,默认情况下也不关注CRC

 

如果HOST希望进行CRC保护,那么它可以通过CMD59打开/关闭CRC校验功能。